QRS波群检测方法研究进展

QRS波群检测方法研究进展

一、QRS波群检测方法的研究进展(论文文献综述)

郝婧宇,南格丽,吴水才[1](2021)在《胎儿心电信号检测算法的研究进展》文中指出介绍了胎儿心电信号(fetal electrocardiogram,FECG)的预处理方法,综述了差分阈值法(difference threshold arithmetic,DTA)、小波变换(wavelet transform,WT)法、经验模态分解(empirical mode decomposition,EMD)法、机器学习算法和多导联融合检测算法等常用的FECG检测算法的原理及其国内外研究现状,分析了各FECG检测算法的优缺点,指出了融合多种检测算法、设计轻量型训练模型、引入迁移学习算法和提高算法自学习能力是未来FECG检测算法的改进方向。

周葛[2](2021)在《用于心律失常自动诊断的动态心电监测系统的研究》文中研究指明心律失常是指心跳的频率或节律出现异常,严重的心律失常可危及生命。心电图(Electrocardiogram,ECG)是一种记录心脏每个心动周期产生的电活动变化图形的技术。临床上医生能根据病人的ECG图形并结合相关病史和临床表现而得出心律失常的诊断。但异常ECG或许是偶然出现,人工分析不仅费时费力并难免带入主观片面性,且先记录后分析诊断模式多耗时较长也难以应对突发风险。随着技术的发展,在心电采集领域和心律失常分类算法领域,国内外的研究取得了不错的进展。然而,将“心电采集+心电诊断+远程管理”一体化研究的比较少。因此,研究适用于个人、家庭、社区以及医院的使用方便且具有心律失常自动诊断和远程数据管理功能的动态心电监测系统非常有意义。一方面可以帮助用户预防突发风险,另一方面能帮助有效的节约医疗资源。本文主要研究用于心律失常自动诊断的动态心电监测系统,主要内容如下:1、设计开发了动态心电数据采集器。该采集器以STM32F405RG为核心,采用24位集成模拟前端ADS1291设计信号调理模块,可实时获取使用者的心电信号,将其以无线方式传输至心电监测客户端,或保存至TF存储卡中。该采集器具有低功耗、小型化及可穿戴的技术特点。2、设计开发了心电监测客户端软件和心电数据远程记录管理系统。心电监测客户端软件基于Python的Py QT5界面库开发,具有心电预处理、心律失常诊断、可视化等功能和部署灵活的特点。心电数据远程记录管理系统在Django框架下开发,提供RESTful接口上传客户端数据,并设计了数据管理web后台。3、研究了基于深度神经网络的实时心律失常诊断方法。首先设计实时QRS波群检测的前向反馈神经网络模型,通过R波位置提取到ECG时域特征,以此构建用于实时心律失常诊断的一维卷积神经网络模型。最后利用MIT-BIH心律失常数据库对模型进行训练并对诊断算法进行了测试。结果表明,该算法对于跨病人的QRS波群位置检测查全率为98.0%、查准率为99.5%以及整体正确率为97.6%,对于5分类的心律失常检测正确率为91.5%。论文最后对本研究的动态心电监测系统的功能进行了验证,结果表明,本文研究的用于心律失常自动诊断的动态心电监测系统,具有心电实时采集、无线传输、实时QRS波群检测以及远程心电数据管理的功能,满足设计要求。

党豪[3](2020)在《基于深度学习的心脏数据自动分类与分割关键技术研究》文中研究表明目前,心血管病的死亡率处于疾病死亡构成的首要位置,已成为全人类健康的共同威胁。探索一种全自动的心脏数据分类和分割算法对心血管疾病的预防和治疗具有重要的理论研究意义。同时,也可以辅助医生从复杂繁重、费时费力的手动分类与分割工作中解脱出来,具有较大的临床实用价值。心电图数据包含了人体心脏活动的丰富信息,在一定程度上反应了心脏各部位生理活动的健康状况,是评估心脏功能、判定心脏疾病的关键因素之一。心脏核磁共振成像技术是一种无创的心脏成像技术,也是诊断心脏及大血管疾病的重要手段,已成为无创性检测与评价心脏结构和功能的重要依据。本文主要基于深度学习理论,系统地研究一维心电信号的自动检测与分类问题和二维心脏核磁图像的自动分割问题。论文主要包含以下研究内容和创新成果:第一,针对心电信号的预处理技术降噪方向进行研究。由于噪声信号存在高频与低频信号,论文提出了改进的形态学小波变换理论的降噪模型,称为Improved Morphology-WT模型。一方面,理论上分析了形态学滤波和小波变换方法的可行性,小波基函数的选择策略,分解尺度的决策过程,阈值处理方法和改进的阈值估计函数的构建等问题;另一方面,通过大量实验验证了小波基函数和分解层数的决策过程,也证明了 Improved Morphology-WT方法对于ECG信号中的低频和高频信号的降噪是合理可行的,而且为信号检测与分类工作奠定基础。第二,针对于房颤信号的检测与分类问题,本文提出了基于卷积神经网络和双向长短期记忆网络的CB-LinkNet模型。卷积神经网络具有很强的特征学习能力,但由于卷积神经网络的提出主要是解决图像分类、目标检测和图像分割等图像的特征提取任务,并没有重点考虑以信号为核心的一维数据,心电信号本质上是时间序列数据。所以,利用双向长短期记忆网络对卷积神经网络的特征学习能力进行补充和调整,使得网络模型更加适用于时间序列信号的特征提取任务。同时,基于原始房颤数据库(MIT-BIH Atrial Fibrillation database)的数据,论文分割出两种输入型信号:RR间隔数据(数据集A)和心拍序列数据(数据集B),以验证房颤信号对于输入数据的特征敏感性。论文设计的3组消融性实验最终也验证了模型的鲁棒性和泛化性,模型分类准确率在训练和验证阶段分别达到了 99.94%和98.63%,在测试集上达到了 96.59%,敏感度和特异度在测试集上分别达到了99.93%和97.03%。同时,本文与国内外房颤检测的模型及分类结果进行了比较分析,本文的研究效果更为显着,充分证实了本研究的实际价值。第三,在对心律失常信号深入分析的基础上,本文提出了三个深度神经网络分类模型对多类心律失常信号进行检测与分类,包括plain-CNN 模型和两个 MSF-CNN 模型(A 和 B)。其中,plain-CNN模型是具有多个卷积层的基础网络结构;在plain-CNN模型的基础上提出了 MSF-CNNA,以提高plain-CNN网络的学习能力,主要是增加了并联组卷积操作(包括三个不同的卷积核,分别是1×7,1×5,1×3);最后,在MSF-CNNA网络的基础上,通过实施串并联组卷积和残差学习模型形成了改进的MSF-CNNA模型,即MSF-CNNB模型,以提高算法的性能。在数据方面,考虑到心律失常类信号的数据特点,本文为模型设计了多尺度的输入信号,以验证数据尺度对于模型性能的影响,同时创造性的在一维信号处理中使用数据增强策略来提高数据的科学性和有效性。六组消融性实验证明了模型泛化能力和鲁棒性,在测试集上,平均准确率、敏感性和特异性分别达到了 96.59%,99.93%,97.03%,也充分体现了模型对于心律失常信号分类任务的重要价值。最后,针对于心脏左心室核磁图像的分割问题,本文提出了 Res-LinkNet分割模型,模型分为Encoder,Center和Decoder三个部分。Encoder的核心部分是模型设计了 ResLink结构模型,这一结构替代了 D-LinkNet中的特征提取器—ResNet结构模型。ResNet网络模型是针对于分类任务而设计的,该设计限制了感受野的范围,而且缺乏跨通道的特征交互与融合,ResLink结构模型可以有效地解决这一问题;Center部分的主要结构是DenseASPP。DenseASPP主要是为了有效解决特征图的感受野问题,其包含一个基础网络,后面分别连接5级空洞卷积层,空洞率分别为3,6,12,18,24,实现了混合空洞卷积。一方面,这一结构使得网络深层的特征图的感受野增大,另一方面,它可以有效缓解普通的空洞卷积运算引起的“网格问题”,同时,对不同通道的特征也进行融合;Decoder部分主要是运用密集上采样卷积操作,通过一系列密集上采样卷积操作来将Encoder阶段下采样的特征图放大至所需尺寸,尽可能地恢复图像特征信息。实验进行了三组消融性分析和一组综合比较分析,结果表明,Res-LinkNet101最终平均准确率达到了 99.88%,mIOU 达到了 94.95%,F1 Score 达到了95.57%,充分体现了模型对于左心室分割的有效性。纵览全文,本文的主要创新点如下:(1)在心电信号降噪技术研究中,论文提出了 Improved Morphology-WT降噪模型,同时也提出了自适应阈值估计方法和改进的阈值函数来完成模型中的小波分解工作。(2)在房颤信号检测与分类研究中,本文提出了融合了卷积神经网络和双向长短期记忆模型的CB-LinkNet模型,同时设计两类输入型信号以评估房颤信号的特征敏感性。(3)在多类心律失常信号检测与分类研究中,本文提出了三个端到端的分类网络模型,同时创新性的在信号中设计了数据增强方法,以有效避免模型过拟合。(4)在左心室分割研究中,论文提出了 Res-LinkNet分割模型,并在Encoder部分设计了基于Attention的ResLink结构模型来完成对心脏核磁影像的特征提取工作。

何润南[4](2021)在《基于深度学习的心律失常分类方法研究》文中指出心脏疾病危害人类健康,是造成人类死亡的主要原因之一,长期以来,心脏疾病研究一直是医学界的重要课题。因为非侵入、经济、便捷灵活的特点,心电图成为了临床上重要的常规检查手段。但是,考虑到心电图的个体性差异和心脏疾病信息分析的复杂性,现有的心律失常自动分类算法在分类准确率等方面的表现并不理想,无法满足大量心电数据辅助诊断的需求。此外,大量重复的心电图识别工作还容易使医生产生疲劳,引发误诊。近年来,随着大数据和人工智能的发展,研究人员对心电信号分析与心律失常的自动诊断进行了大量的研究。心电信号中通常会包含各种噪声,为心电图的识别带来一定的困难。并且,随着心电图数量的增加,心律失常波形变得更加复杂,传统的心电信号波形检测方法以及心律失常特征提取难以更好地完成心律失常自动诊断的任务。因此,研究高效、准确的心律失常自动诊断算法具有重要的临床应用价值和科学意义。本文利用深度学习算法,围绕心电信号预处理、波形检测和房颤等心律失常自动分类方法展开研究,主要研究内容如下:首先,本文分析了心电信号噪声分布特点,结合均值滤波与小波变换阈值法对心电信号的基线漂移、工频干扰和肌电干扰等高低频噪声进行去除。实验表明,本文使用的心电信号去噪算法能够有效地去除各类主要高低频噪声,最大限度的保留心电信号的原始波形特征。本部分的工作为后续的心电信号波形检测和心律失常分类奠定了基础。其次,在心电信号去噪的基础上,进一步围绕心电信号的短时QRS波群检测展开研究。借鉴图像分割技术的思想,本文提出了一种基于U型网络和双向长短期记忆网络相结合的短时QRS波群检测算法。结合U型网络的概率输出,使用平滑标注的注释重标注方法,改善了R波波峰标注不平衡的问题。相比经典的Pan-Tompkins算法,通过通道变换简化了前期复杂的QRS波群处理过程。在公开的心律失常数据集上的实验表明,本文提出的短时QRS波群检测算法能够实现更加准确的QRS波群检测,解决了经典的Pan-Tompkins算法处理短时QRS波群检测不理想的问题。再次,基于有效的QRS波群检测算法,进一步围绕单导联房颤的检测展开研究。通过对房颤检测输入信息的分析发现,只使用心房或心室活动信息不能很好的表示房颤的特征,房颤检测的准确率受到严重影响。针对上述问题,本文结合心房和心室活动的整体信息作为房颤检测的输入。为了更好的自动提取房颤相关特征信息,将每个分割出的心拍变为二维的时频图,再将5个连续的心拍进行组合构成一个三维的输入,在此基础上,提出了基于连续小波变换和二维卷积神经网络相结合的单导联房颤检测算法。相比传统的只使用心房或心室活动信息的房颤检测算法,本文提出的算法仅使用5个心拍就能够实现更加准确的房颤检测,对算法扩展到临床应用具有重要价值。最后,进一步将单导联一种心律失常(房颤)检测拓展到12导联多种心律失常分类,本部分提出了一种基于残差网络与双向长短期记忆网络相结合的12导联多种心律失常分类算法。通过前期对心电信号截取或补长进行数据长度的统一,然后利用数据复制解决数据扩增和数据平衡的问题,避免了模型的过度拟合。利用残差网络数据压缩和局部特征提取的能力,结合双向长短期记忆网络提取全局特征的能力,更好地解决了复杂而繁琐的人工特征提取问题。在心律失常数据集上的分类结果表明,本部分提出的方法可以实现准确的多种心律失常的自动分类。

吴海波[5](2020)在《基于卷积神经网络的心律失常检测分类算法研究》文中研究表明心律失常是一种常见的心血管疾病综合症,心律失常的检测分类对心血管疾病预防具有积极意义。心电图是一种图形化技术,它反映心肌细胞除极与复极的动态过程,通过观察心电图的波形变化是否异常,可以预警或诊断心血管疾病。在临床检查中,心电信号的采集易受到工频噪声、肌电干扰和基线漂移等噪声信号影响,导致正确识别心律失常具有一定的困难。另外,心律失常的波形形态更加复杂,庞大的心电图数量会导致心脏病医生的工作压力急剧增加,因此,仅依靠医生经验去识别心律失常类型的方法效率较低,且存在着误诊漏诊的主观可能性。本论文以卷积神经网络(Convolution Neural Network,CNN)为基础,主要研究了原始心电信号的噪声滤除方法和心律失常的检测分类算法,并使用MIT-BIH数据库进行验证,最后对实验结果进行对比分析。本论文将从以下三个方面进行深入研究:1.针对原始心电信号噪声滤除的研究。首先,介绍了小波变换和形态学滤波方法在基线漂移噪声滤除过程中的效果,并分析了各自存在的缺陷。其次,提出一种结合小波变换和形态学滤波进行基线漂移噪声滤除的新方法。最后,通过对比分析结果表明:新方法在消除心电信号中的基线漂移效果更加显着。2.针对心律失常检测分类算法的研究。首先,利用QRS波检测和截取心拍的方法对心律失常数据进行提取准备,并介绍了传统的心律失常检测分类技术。其次,设计了一种基于一维卷积神经网络的心律失常检测分类模型,该模型将特征提取与检测分类结合在一起。最后,利用MIT-BIH数据库数据进行模型实验验证,通过模型参数调优,网络快速收敛,最终实现五类心拍的有效分类效果。3.针对算法实验结果的分析研究。首先,介绍分类器评价指标;其次,对卷积核个数和网络层数进行参数配置与优化;接着,对心拍数据混肴矩阵结果进行分析,模型的检测分类准确度达到99.10%;最后,将该方法的分类结果与经典的SVM分类方法和人工特征分类方法进行对比分析。结果表明,该方法相较传统的分类方法更优,其跳过了特征提取与选择的步骤,避免了模型对特征提取精确度的过度依赖,同时降低了特征变换造成的计算过程复杂度。

程相前[6](2020)在《基于CNN与SVR证据理论融合的ECG信号分类》文中进行了进一步梳理心血管疾病作为威胁人类健康的重要杀手,近年来呈现出逐年增加的趋势。心血管疾病患者早期常常出现心律失常的症状,因此,病人心律失常的早期检查至关重要。传统的临床诊断是医生通过心电图分析心电信号中所含的病理信息,对心律失常进行排查与诊断。自动分析技术则是利用计算机对心电图分析,它能够解放医生的精力和时间,有效地对心电图进行实时监测。因此,构建一个心律失常自动分类系统,对心血管疾病的预防和诊断具有很大的积极作用。本文利用卷积神经网络和支持向量回归进行心拍特征的学习,并用证据理论进行数据融合,具体研究内容如下:(1)心电信号(ECG)的低幅和低频等特性,导致采集过程中容易被噪声所干扰。本文根据噪声的不同频率,分别设计相应的滤波器进行信号还原。对于基线漂移低频噪声,采用中值滤波器进行基线的修正。对于高频噪声,采用小波变换的方法进行信号的还原。还原后的信号能够保留更多的有效病理特征。(2)特征提取中,为了保证心拍中蕴含的病理信息得到充分提取,需要对P波、QRS波和T波三个波段进行完整截取。本文拟采用差分阈值法对QRS波定位以及心拍样本的分割。对分割后的信号,分别提取时域特征和频域特征来表征心拍。(3)心拍分类系统搭建。分别采用卷积神经网络和支持向量回归进行ECG信号的分类。对于时域特征,采用卷积网络进行特征学习。卷积神经网络具有自学习、自适应的特点。并且其自身复杂的网络结构,对高层特征的挖掘也有着自己的优势。本文基于传统的卷积网络模型,搭建出一维CNN网络进行信号的识别分类。对于频域特征,设计多个支持向量回归机,对每种信号进行回归预测。针对两种分类器的预测结果,利用D-S证据理论进行数据融合。相比单个分类器,融合后的预测结果有着更高的准确率。

金中一[7](2020)在《基于稀疏特性和机器学习的ECG信号处理技术研究》文中研究说明随着社会生活压力增加与社会人口老龄化加剧,我国心血管疾病患病人数逐年增加,且致死率居高不下。心血管疾病已经成为影响我国人民健康的高发型疾病,不但使患者承受巨大的身心痛苦,也给我国社会带来沉重的经济负担。心电图ECG(Electrocardiogram)作为心脏电活动的反映,可以无创且实时地记录和体现心脏的功能与状态,是心血管疾病诊断的主要依据,在临床中具有重要的地位与作用。然而,心电信号本身是一种极其微弱的电生理信号,在采集的过程中极易受到各种外界噪音和干扰的影响。为了保障心电信号采集的质量,实现更加准确的观察与疾病诊断,需要对其进行降噪处理和特征提取。在此背景之下,本文按照“理论分析→信号降噪→波形检测”的研究思路,从心电信号本质特征入手,立足于心血管疾病实际诊疗需求,针对传统降噪方法丢失心电信号细节信息以及特征提取方法过度依赖人工特征选取等问题,研究了基于稀疏信号处理的心电信号降噪技术和基于机器学习的心电信号特征提取技术。本文主要的创新体现在如下四个方面:(1)针对心电信号噪音干扰问题,利用心电信号本身具有的稀疏性,将信号建模为低通分量、稀疏分量与噪音分量之和,提出了一种联合低通滤波与稀疏恢复技术的心电信号降噪模型。通过真实心电数据进行实验,结果证明了理论模型的正确性。(2)针对传统的稀疏恢复算法大多使用的1-norm惩罚项所导致的对真实心电信号波形的欠估计问题。本文引入了具有非凸特性的广义极小极大凹函数GMC(Generalized Minimax Concave)作为惩罚项,可以大幅提升降噪模型中稀疏分量的提取能力,有效解决信号波形的欠估计问题。通过MIT-BIH心电数据库进行验证,并与多个降噪算法进行对比实验,结果表明基于GMC惩罚项的稀疏心电信号降噪算法在取得优良降噪结果的同时可以更好的保存心电信号中的关键特征。(3)针对以往QRS波形检测方法主要依赖于先验专家知识来选择固定的特征与参数的问题。以及忽略导联间的关联信息,仅使用单导联心电信号进行检测的不足之处,提出了一种融合多导联数据的卷积神经网络模型来自动检测QRS波形。模型支持多导联心电数据输入且无需进行预处理步骤,并且在卷积神经网络中设计了两种不同尺度的卷积层,一种提取当前波群与邻近波群之间的时间间隔与变异性信息,另一种提取不同导联之间的关联信息。充分利用了多导联心电信号,有效提高了QRS波群的检测精度。(4)针对提出的QRS模型检测结果出现错检漏检的问题,本文对模型的输出结果设计了回溯检查模块来降低错检漏检情况,进一步提高了波形检测精度。实验结果表明,本文提出的基于卷积神经网络的QRS波形检测算法在仅有两条导联的MIT-BIH心电数据库中取得了99.74%的灵敏度与0.294%的误检率。在拥有12导联的INCART数据库中灵敏度高达99.96%而误检率仅仅只有0.047%。证明了算法在多导联数据下的性能优越性以及更高的鲁棒性。

杨旭东[8](2020)在《基于小波变换的ECG信号特征参数提取研究》文中认为目前,心血管病死亡占我国城乡居民总死亡原因的首位,而随着人口老龄化及城镇化进程的加速,今后10年心血管病患病人数仍将保持快速增长。传统的心电图检测方式设备体积巨大,操作复杂,且需要专业人员的诊断。随着可穿戴设备及自动分析诊断技术的不断发展,对心电信号提取的研究也日益增多。在此背景下,本文基于小波变化,对心电信号特征参数提取算法进行了研究,并将其应用于FPGA平台。本文的主要研究工作有:第一,在心电信号降噪滤波方面,采用了小波阈值降噪中的软阈值算法对心电信号进行了处理。以50Hz的正弦波来模拟工频干扰噪声,并以0.5Hz的正弦波来模拟基线漂移建立噪声模型,然后通过对比各小波的特点,选取db4小波对含噪声心电信号进行8层小波分解,实现了对心电信号的降噪滤波,并在最后对降噪效果进行评估。第二,在心电信号特征参数提取方面,基于小波变换和自适应双阈值算法设计了心电信号R波检测系统。检测系统分为QRS波提取模块和QRS波判定模块两部分。首先对比不同方案的效果,利用bior4.4小波对心电信号进行5层小波分解。然后设计了QRS波判定过程中用到的滑动窗口积分算法及自适应双阈值算法。自适应双阈值充分利用了FPGA集成度高、运算速度快的优点,利用高低双阈值,实现了更准确的QRS波实时检测。最后,通过Matlab对整个系统进行建模仿真,利用MIT-BIH心电数据库中的48组心电数据,统计得到本算法对于正常心电信号的QRS波检出率接近100%,整体检出率为98.93%,满足设计要求。第三,基于CycloneⅣ系列的4CE115F23I7核心芯片,将QRS波实时检测系统在FPGA上实现。本部分首先将整个QRS波检测系统模块化,分为了小波变换模块、QRS波判定模块及数据发送模块。主要内容是以bior4.4小波为基函数对心电信号进行5层小波变换;然后将提取到的QRS波处理为具有单一波形的波峰信号,并对其进行判定;最后利用UART传输模块将数据发送至上位机。整个系统由Verilog硬件描述语言编写,并利用QuartusⅡ软件进行编译综合。最后,对检测系统进行仿真及上板测试。进行了Modelsim的时序仿真,并完成了上板测试以及利用SignalTap进行信号分析。得到了与Matalb仿真一致的结果,本文所设计的检测算法能够应用于FPGA硬件平台,实现QRS波的实时检测。

徐伟[9](2020)在《基于卷积神经网络的胎心率被动检测方法研究》文中研究指明腹部胎儿心电信号在妊娠期进行胎心率检测发挥着重要作用,对胎儿健康状况的早期诊断十分有利。腹部胎儿心电监测属于被动非侵入式方法,安全无创伤。但是从腹部心电信号中检测胎心率十分困难,这主要因为从母体腹部采集的心电信号不可避免地受到各种噪声干扰,其中母体心电信号是主要干扰,其振幅远大于胎儿心电信号。为了获得可靠的胎心率,主要是从母体腹部心电信号中获得胎儿QRS波群的准确位置。尽管国内外学者已经提出了大量用于成人心电信号中QRS波群检测的方法,但是对于非侵入性胎儿心电信号的分析仍然具有挑战性,主要原因在于当前胎儿心电检测技术提取准确率仍不高、可靠性难保证。深度学习作为近年来快速发展的崭新技术可以有效帮助研究目标检测和模式识别,在信号与信息处理领域成为研究热点。其中,卷积神经网络在过去的十余年得到快速发展,受到了越来越多研究学者的关注。卷积神经网络最大的特点是善于从原始数据中提取高级的抽象特征,具有良好的泛化能力,因此被广泛应用于与特征提取相关的科学研究领域。本文在总结国内外现有方法基础上,采用卷积神经网络对腹部胎儿心电信号进行胎心率检测研究。主要研究内容如下:(1)采用一维卷积神经网络检测胎儿QRS波群。该方法首先在Physio Net上选取四通道腹部胎儿心电信号作为实验数据集,然后通过样本熵进行信号质量评估,排除信号质量较差的通道;通过50Hz陷波滤波器和5~100Hz带通巴特沃斯滤波器去除电力线干扰、基线漂移和高频肌电干扰,最后以100ms分段通过9层一维卷积神经网络进行无交叉训练、验证和测试。实验结果表明,胎儿QRS波群检测的总体灵敏度为81.97%、阳性预测值为77.90%和F1值为79.84%,卷积神经网络检测的总体准确率为79.74%;(2)采用二维卷积神经网络对腹部胎儿心电信号进行胎心率检测。该方法首先将四通道腹部胎儿心电信号的幅值归一化至[-1,1]区间内,然后以250ms连续分段进行短时傅里叶变换将一维心电信号序列转化为二维时频图,最后通过11层二维卷积神经网络进行交叉验证和检测。实验结果表明,胎心率检测的总体灵敏度为87.56%、阳性预测值为86.94%和F1值为87.25%,卷积神经网络检测的总体准确率为88.06%。

蒋坤坤[10](2020)在《基于Cortex-M3的具有实时分析功能的动态心电软硬件系统的研究》文中研究表明动态心电可以长时间监测人体心电信号,对心律失常等疾病检测具有重大价值。目前被广泛应用的动态心电监测仪仅有记录事后分析功能,难以满足心电信号实时分析的需求,限制了心电分析系统的广泛应用。因此,开发一种具有实时分析功能的动态心电软硬件系统具有重要意义,该系统应具有适用复杂心电检测算法的能力,同时满足低功耗、便携式、高可靠性等需求。论文基于载人航天型号任务需求,研究内容包括:①研制高可靠性、高性能硬件电路:采用基于Cortex-M3内核的具有高达120M主频、512KB Flash程序存储器、96KB SRAM数据存储器的ARM芯片,24bit高精度采样前端解决方案进行硬件电路设计;②研究心电实时分析算法:算法具有准确度高、运算复杂度低的特点,可适用于嵌入式环境;③研究具有心电信号采集、实时分析、存储与传输等功能的嵌入式软件。对系统的测试结果表明,仪器具有低噪声(峰值噪声<4 μ V)、高精度(24bit)、低功耗(工作电流<80mA,两节5号电池可支持32小时连续工作)、便携(体≯积120*80*31.5mm3、重量≯0.41kg)、算法准确度高(QRS波群检测准确率96.89%,室性早搏检测准确率86.55%)、可靠性高(静电接触放电8kV)等特点。可同时满足医疗仪器和航天型号任务的性能、可靠性、EMC等要求。本论文所设计的样机已通过中国航天员中心性能与可靠性测试,有望在不久将来应用于我国重大载人航天活动,关键技术可解决当前远程心电监测诊断领域的痛点问题,具有广泛应用价值。

二、QRS波群检测方法的研究进展(论文开题报告)

(1)论文研究背景及目的

此处内容要求:

首先简单简介论文所研究问题的基本概念和背景,再而简单明了地指出论文所要研究解决的具体问题,并提出你的论文准备的观点或解决方法。

写法范例:

本文主要提出一款精简64位RISC处理器存储管理单元结构并详细分析其设计过程。在该MMU结构中,TLB采用叁个分离的TLB,TLB采用基于内容查找的相联存储器并行查找,支持粗粒度为64KB和细粒度为4KB两种页面大小,采用多级分层页表结构映射地址空间,并详细论述了四级页表转换过程,TLB结构组织等。该MMU结构将作为该处理器存储系统实现的一个重要组成部分。

(2)本文研究方法

调查法:该方法是有目的、有系统的搜集有关研究对象的具体信息。

观察法:用自己的感官和辅助工具直接观察研究对象从而得到有关信息。

实验法:通过主支变革、控制研究对象来发现与确认事物间的因果关系。

文献研究法:通过调查文献来获得资料,从而全面的、正确的了解掌握研究方法。

实证研究法:依据现有的科学理论和实践的需要提出设计。

定性分析法:对研究对象进行“质”的方面的研究,这个方法需要计算的数据较少。

定量分析法:通过具体的数字,使人们对研究对象的认识进一步精确化。

跨学科研究法:运用多学科的理论、方法和成果从整体上对某一课题进行研究。

功能分析法:这是社会科学用来分析社会现象的一种方法,从某一功能出发研究多个方面的影响。

模拟法:通过创设一个与原型相似的模型来间接研究原型某种特性的一种形容方法。

三、QRS波群检测方法的研究进展(论文提纲范文)

(1)胎儿心电信号检测算法的研究进展(论文提纲范文)

0 引言
1 FECG预处理
2 FECG检测
    2.1 DTA
    2.2 WT法
    2.3 EMD法
    2.4 机器学习算法
        2.4.1 传统的机器学习算法
        2.4.2 基于深度学习的机器学习算法
    2.5 多导联融合检测算法
3 各种算法的优缺点分析
4 未来研究展望
    (1)融合多种检测算法。
    (2)设计轻量型训练模型。
    (3)引入TL算法。
    (4)提高算法自学习能力。

(2)用于心律失常自动诊断的动态心电监测系统的研究(论文提纲范文)

摘要
Abstract
第1章 绪论
    1.1 研究背景及意义
    1.2 国内外发展现状
    1.3 论文主要研究内容
    1.4 论文的结构和安排
第2章 心律失常相关理论与系统整体设计
    2.1 心电与心律失常相关理论
        2.1.1 心电图基础
        2.1.2 心律失常的产生与分类
    2.2 系统需求分析与整体设计
        2.2.1 系统需求分析
        2.2.2 系统整体结构
    2.3 本章小结
第3章 动态心电数据采集器设计
    3.1 动态心电数据采集方案
    3.2 心电数据采集器硬件电路
        3.2.1 整体硬件设计
        3.2.3 核心板电路设计
        3.2.4 功能板电路设计
    3.3 传输协议设计
    3.4 心电数据采集器软件设计
    3.5 本章小结
第4章 心电监测客户端及数据管理系统设计
    4.1 整体设计
    4.2 心电数据管理系统设计
        4.2.1 Django框架介绍
        4.2.2 数据库设计
        4.2.3 RESTful API接口设计
        4.2.4 web后台管理系统设计
    4.3 心电监测客户端软件设计
        4.3.1 整体设计
        4.3.2 Py Qt5 介绍
        4.3.3 数据网关模块
        4.3.4 数据处理模块
        4.3.5 串口转Socket模块
        4.3.6 数据回放模块
        4.3.7 心电诊断模块
        4.3.8 数据上传模块
    4.4 本章小结
第5章 基于深度神经网络的心律失常诊断方法
    5.1 深度神经网络相关理论
    5.2 整体算法设计
    5.3 深度神经网络模型设计
    5.4 MIT-BIH数据库
    5.5 模型训练
        5.5.1 数据集准备
        5.5.2 算法模型的训练
    5.6 本章小结
第6章 整体测试与验证
    6.1 心律失常诊断算法性能测试与分析
    6.2 心电数据采集功能测试
        6.2.1 硬件电路实物
        6.2.2 无线连接配置
        6.2.3 心电数据采集器测试
    6.3 心电监测与远程数据管理功能测试
    6.4 本章小结
第7章 总结与展望
    7.1 总结
    7.2 展望
参考文献
作者简介及科研成果
致谢

(3)基于深度学习的心脏数据自动分类与分割关键技术研究(论文提纲范文)

摘要
ABSTRACT
第一章 绪论
    1.1 研究背景及意义
        1.1.1 研究背景
        1.1.2 研究意义
    1.2 国内外研究现状
        1.2.1 心电信号检测与分类任务国内外研究现状分析
        1.2.2 心脏影像数据分割国内外研究现状分析
        1.2.3 研究发展趋势
    1.3 研究内容
        1.3.1 基于深度学习的心电信号检测与分类
        1.3.2 基于深度学习的心脏影像数据自动分割
    1.4 论文的组织结构
第二章 研究基础及相关技术理论
    2.1 心电信号分类研究基础理论
        2.1.1 心电信号的产生机理
        2.1.2 心电信号基本波形及意义
        2.1.3 心律失常的分类
    2.2 心脏影像数据分割研究基础理论
        2.2.1 心脏核磁图像数据的产生机理
        2.2.2 短轴核磁序列图像介绍
        2.2.3 心脏核磁共振图像的分割
    2.3 深度学习相关理论
        2.3.1 深度学习的发展
        2.3.2 卷积神经网络
        2.3.3 循环神经网络
        2.3.4 分类与分割任务
    2.4 本章小结
第三章 心电信号预处理研究
    3.1 引言
    3.2 心电信号的噪声类型
    3.3 基于改进的形态学小波变换理论的心电信号降噪方法
        3.3.1 小波变换理论
        3.3.2 小波变换理论去噪方法
        3.3.3 基于改进的形态学小波变换理论的信号去噪方法
    3.4 实验与结果
        3.4.1 性能评价
        3.4.2 实验数据
        3.4.3 实验结果与分析
    3.5 本章小结
第四章 基于深度学习的房颤信号分类研究
    4.1 引言
    4.2 基于CB-LinkNet模型的房颤信号分类研究
        4.2.1 数据集分析
        4.2.2 模型结构与参数分析
        4.2.3 模型训练过程分析
    4.3 消融性实验及分析
        4.3.1 评价指标
        4.3.2 实验结果及分析
    4.4 本章小结
第五章 基于深度学习的心律失常信号分类研究
    5.1 引言
    5.2 基于MSF-CNN模型的心律失常信号分类研究
        5.2.1 数据集分析
        5.2.2 模型结构与参数分析
        5.2.3 模型训练过程分析
    5.3 消融性实验及分析
        5.3.1 评价指标
        5.3.2 实验结果及分析
    5.4 本章小结
第六章 基于深度学习的心脏核磁影像数据分割研究
    6.1 引言
    6.2 基于Attention机制的左心室分割模型
        6.2.1 数据集分析
        6.2.2 课题研究所涉及的核心技术
        6.2.3 模型结构与参数分析
        6.2.4 模型训练过程分析
    6.3 消融性实验及分析
        6.3.1 评价指标
        6.3.2 实验结果及分析
    6.4 本章小结
第七章 总结与展望
    7.1 本文工作总结
    7.2 下一步工作展望
参考文献
缩略语说明
致谢
攻读学位期间发表的学术论文目录

(4)基于深度学习的心律失常分类方法研究(论文提纲范文)

摘要
Abstract
第1章 绪论
    1.1 课题来源
    1.2 课题背景和意义
    1.3 心电信号的产生与特点
    1.4 国内外研究现状
        1.4.1 心电信号预处理的研究现状
        1.4.2 心电信号波形检测的研究现状
        1.4.3 房颤检测的研究现状
        1.4.4 心律失常分类的研究现状
    1.5 国内外研究现状分析
    1.6 本文的主要研究内容
第2章 基于均值滤波与小波变换阈值法的心电信号去噪处理
    2.1 引言
    2.2 心电信号去噪
        2.2.1 心电信号的噪声分析
        2.2.2 基于均值滤波的基线漂移去除
        2.2.3 小波变换去噪方法的选择
        2.2.4 基于小波变换阈值法的工频干扰和肌电干扰去除
    2.3 实验结果与分析
        2.3.1 均值滤波去除基线漂移的结果分析
        2.3.2 小波变换阈值法去除工频干扰和肌电干扰的结果分析
    2.4 不同小波和阈值函数选取的讨论
    2.5 本章小结
第3章 基于U-Net和BiLSTM网络的短时QRS波群检测
    3.1 引言
    3.2 短时QRS波群检测
        3.2.1 心电信号的预处理
        3.2.2 信号变换
        3.2.3 注释的重标注
        3.2.4 基于U-Net和BiLSTM网络结构的设计
        3.2.5 短时QRS波群检测的优化
        3.2.6 训练过程
    3.3 实验结果与分析
        3.3.1 数据集选择
        3.3.2 评价标准及结果对比分析
        3.3.3 有无去噪的对比分析
        3.3.4 单双通道输入的对比分析
        3.3.5 平滑标注与0-1标注的对比分析
        3.3.6 U-Net和BiLSTM网络结合的检测结果分析
        3.3.7 不同阈值大小的对比分析
    3.4 短时QRS波群检测预处理和模型结构的讨论
    3.5 本章小结
第4章 基于连续小波变换的二维卷积神经网络的单导联房颤检测
    4.1 引言
    4.2 房颤检测
        4.2.1 心电信号的预处理
        4.2.2 结合心房和心室活动信息的连续小波变换
        4.2.3 基于二维卷积神经网络结构的设计
        4.2.4 训练过程
    4.3 实验结果与分析
        4.3.1 数据集选择
        4.3.2 评价标准及结果对比分析
        4.3.3 网络结构参数的选择
        4.3.4 有无连续小波变换处理的对比分析
    4.4 单导联房颤检测预处理与临床应用的讨论
    4.5 本章小结
第5章 基于残差网络与BiLSTM网络的12导联心律失常分类
    5.1 引言
    5.2 心律失常分类
        5.2.1 心电信号预处理
        5.2.2 基于残差网络和BiLSTM网络结构的设计
        5.2.3 训练过程
    5.3 实验结果与分析
        5.3.1 数据集选择
        5.3.2 评价标准及结果对比分析
        5.3.3 网络结构参数的选择
        5.3.4 不同模型结构的对比分析
        5.3.5 基于Grad-CAM的可视化分析
        5.3.6 网络特征学习的分析
    5.4 多导联心律失常分类数据平衡和模型结构的讨论
    5.5 本章小结
结论
参考文献
攻读博士学位期间发表的论文及其它成果
致谢
个人简历

(5)基于卷积神经网络的心律失常检测分类算法研究(论文提纲范文)

摘要
Abstract
第1章 引言
    1.1 课题来源
    1.2 课题的背景及研究意义
    1.3 国内外研究现状
        1.3.1 心电信号预处理研究现状
        1.3.2 心律失常检测算法研究现状
    1.4 课题主要研究内容
    1.5 论文结构框架
第2章 心律失常基础理论
    2.1 心电信号基础理论
        2.1.1 心电信号产生机理
        2.1.2 心电信号波形
    2.2 心律失常
    2.3 MIT-BIH心律失常数据库
    2.4 本章小结
第3章 原始心电信号噪声滤除的研究
    3.1 心电信号噪声来源
    3.2 相关心电信号噪声滤除方法
        3.2.1 小波变换
        3.2.2 数学形态学滤波法
    3.3 数学形态学和小波变换滤波相结合的滤波算法
    3.4 实验结果分析比较
    3.5 本章小结
第4章 心律失常检测分类算法研究
    4.1 数据准备
        4.1.1 QRS波群检测
        4.1.2 截取心拍
    4.2 相关心律失常分类算法
        4.2.1 SVM
        4.2.2 BP神经网络
    4.3 基于一维卷积神经网络的心律失常检测模型
    4.4 实验过程
    4.5 本章小结
第5章 算法实验结果分析
    5.1 分类器性能评价指标
    5.2 参数配置与优化
        5.2.1 卷积核个数优化
        5.2.2 网络层数优化
    5.3 实验结果分析比较
        5.3.1 实验结果
        5.3.2 与经典的分类器SVM对比
        5.3.3 与人工特征分类方法对比
    5.4 本章小结
第6章 全文总结与展望
    6.1 全文总结
    6.2 展望
参考文献
致谢
附录1 攻读硕士学位期间获得的成果奖励
附录2 攻读硕士学位期间参加的科研项目

(6)基于CNN与SVR证据理论融合的ECG信号分类(论文提纲范文)

摘要
abstract
1 绪论
    1.1 研究背景和意义
    1.2 国内外研究现状
    1.3 本文主要内容
    1.4 本文章节安排
2 ECG信号分析相关理论
    2.1 引言
    2.2 ECG信号产生机理
    2.3 ECG信号各波段及其意义
    2.4 常见心律失常类型
    2.5 MIT-BIH数据库
    2.6 本章小结
3 ECG信号预处理与特征提取
    3.1 信号去噪声
    3.2 波形检测
    3.3 特征提取
    3.4 本章小结
4 分类器搭建与融合
    4.1 方法总体框架
    4.2 基于卷积神经网络的分类模型
    4.3 基于频域特征与支持向量回归的分类模型
    4.4 证据理论融合
    4.5 实验与分析
    4.6 本章小结
5 总结与展望
    5.1 总结
    5.2 展望
参考文献
作者简历
致谢
学位论文数据集

(7)基于稀疏特性和机器学习的ECG信号处理技术研究(论文提纲范文)

摘要
ABSTRACT
第1章 绪论
    1.1 研究背景及意义
    1.2 国内外研究现状
        1.2.1 心电降噪算法研究现状
        1.2.2 心电信号QRS波检测算法研究现状
    1.3 本文主要研究内容与创新点
    1.4 本文组织结构
第2章 心电信号基础及相关算法介绍
    2.1 心电信号
        2.1.1 心电信号产生机理
        2.1.2 心电信号的采集及标准十二导联介绍
        2.1.3 正常心电信号波形形态及意义
        2.1.4 常见噪声干扰类型
        2.1.5 心电信号特点
    2.2 稀疏表示
        2.2.1 范数及稀疏性
        2.2.2 稀疏表示与降噪
        2.2.3 超完备字典
    2.3 卷积神经网络
        2.3.1 卷积神经网络主要组成架构介绍
        2.3.2 卷积神经网络特点
        2.3.3 Batch Normalization与 Dropout操作
    2.4 本章小结
第3章 基于GMC惩罚项的ECG稀疏降噪算法
    3.1 GMC惩罚项
        3.1.1 Huber函数与MC惩罚项
        3.1.2 保持凸特性的条件
        3.1.3 GMC惩罚项
        3.1.4 GMC惩罚项保持代价函数凸性的约束条件
    3.2 基于GMC惩罚项的ECG稀疏降噪算法
        3.2.1 ECG信号模型
        3.2.2 降噪框架
        3.2.3 基于1-norm的稀疏恢复
        3.2.4 基于GMC的稀疏恢复
        3.2.5 使用STFT构造超完备字典
        3.2.6 LPF滤波器设计
    3.3 算法验证和结果分析
        3.3.1 实验数据集及性能评价指标
        3.3.2 数据预处理及参数设置
        3.3.3 基于GMC与1-norm的稀疏恢复算法对比
        3.3.4 算法中正则化参数?对结果的影响
        3.3.5 与其他ECG降噪算法的对比
    3.4 本章小结
第4章 基于卷积神经网络的QRS波识别算法
    4.1 训练数据生成
        4.1.1 正确R峰位置筛选
        4.1.2 训练样本标签生成
    4.2 卷积神经网络模型构建
    4.3 后处理步骤
    4.4 算法验证和结果分析
        4.4.1 性能评价指标
        4.4.2 针对两导联的MIT-BIH心律失常数据库的检测结果及分析
        4.4.3 针对12 导联的INCART心电数据库的检测结果及分析
    4.5 本章小结
第5章 总结与展望
    5.1 本文工作总结
    5.2 展望
参考文献
致谢
在学期间主要科研成果

(8)基于小波变换的ECG信号特征参数提取研究(论文提纲范文)

摘要
abstract
第一章 绪论
    1.1 研究工作的背景与意义
    1.2 心电图基础
        1.2.1 心电图简介
        1.2.2 心电信号采集
    1.3 国内外研究现状
        1.3.1 心电信号处理算法的研究现状
        1.3.2 心电信号处理硬件的研究现状
    1.4 研究内容及安排
第二章 小波变换及心电信号处理基础
    2.1 小波变换的定义
    2.2 多分辨率分析及Mallat算法
        2.2.1 多分辨率分析的定义
        2.2.2 Mallat算法
    2.3 几种常见的小波函数
    2.4 心电信号处理基础
        2.4.1 心电信号的产生及特点
        2.4.2 心电数据库介绍
    2.5 FPGA器件及其设计开发
        2.5.1 可编程逻辑器件FPGA
        2.5.2 FPGA开发流程
    2.6 本章小结
第三章 基于小波变换的ECG信号降噪算法
    3.1 心电信号中的噪声
    3.2 小波降噪的基本原理
    3.3 小波变换降噪算法研究
        3.3.1 小波系数尺度相关降噪算法
        3.3.2 模极大值降噪算法
        3.3.3 小波阈值降噪算法
        3.3.4 常用的阈值和阈值函数
    3.4 心电信号降噪
        3.4.1 选取合适的小波函数
        3.4.2 建立噪声模型
        3.4.3 确定分解尺度
    3.5 算法实现及结果分析
    3.6 本章小结
第四章 基于小波变换的QRS波检测
    4.1 小波变换模极大值检测奇异点基本原理
    4.2 QRS波检测中的小波变换
        4.2.1 选取合适的小波基函数及分解尺度
        4.2.2 小波变换提取QRS波
    4.3 QRS波检测中的判定算法
        4.3.1 移动窗口积分
        4.3.2 自适应双阈值算法
        4.3.3 不应期检测及瞬时心率
    4.4 检测结果仿真
    4.5 本章小结
第五章 QRS波检测系统的FPGA实现
    5.1 小波变换模块的设计及仿真
        5.1.1 流水线处理及滤波器设计
        5.1.2 小波变换模块仿真及测试
    5.2 UART模块的设计及仿真
    5.3 检测系统的FPGA实现
    5.4 本章小结
第六章 总结与展望
    6.1 总结
    6.2 展望
致谢
参考文献
攻读硕士学位期间取得的成果

(9)基于卷积神经网络的胎心率被动检测方法研究(论文提纲范文)

摘要
Abstract
第1章 绪论
    1.1 课题研究的背景与意义
    1.2 国内外研究现状
        1.2.1 胎心率检测研究现状
        1.2.2 卷积神经网络研究现状
    1.3 课题的研究内容和章节安排
第2章 心电信号基础原理
    2.1 心电信号的产生机理
    2.2 胎儿心电信号的波形和意义
    2.3 母体腹部心电信号噪声类型
    2.4 胎儿心电信号数据集
    2.5 本章小结
第3章 实验数据预处理
    3.1 实验数据
        3.1.1 数据选择
        3.1.2 数据释义
    3.2 一维实验数据预处理
        3.2.1 信号质量评估
        3.2.2 心电信号去噪
        3.2.3 实验数据分段
    3.3 二维实验数据预处理
        3.3.1 实验数据归一化
        3.3.2 实验数据分段
        3.3.3 短时傅里叶变换
    3.4 本章小结
第4章 卷积神经网络结构设计
    4.1 人工神经网络
        4.1.1 神经元
        4.1.2 多层感知器
    4.2 卷积神经网络
        4.2.1 卷积层
        4.2.2 池化层
        4.2.3 全连接层
    4.3 卷积神经网络优化策略
        4.3.1 批量标准化
        4.3.2 Dropout技术
    4.4 卷积神经网络设计
        4.4.1 一维卷积神经网络结构和参数设计
        4.4.2 二维卷积神经网络结构和参数设计
    4.5 本章小结
第5章 实验结果和分析
    5.1 实验结果评价指标
    5.2 一维卷积神经网络实验结果
        5.2.1 数据集划分
        5.2.2 检测结果统计
        5.2.3 实验结果对比
    5.3 二维卷积神经网络实验结果
        5.3.1 验证方法
        5.3.2 检测结果统计
    5.4 本文方法与其他方法对比
    5.5 本章小结
总结与展望
参考文献
攻读硕士学位期间发表的学术论文
致谢

(10)基于Cortex-M3的具有实时分析功能的动态心电软硬件系统的研究(论文提纲范文)

致谢
摘要
Abstract
第1章 绪论
    1.1 引言
    1.2 国内外研究现状
        1.2.1 心电图及导联基础
        1.2.2 心电分析算法
        1.2.3 动态心电检测仪器
    1.3 课题研究目标与内容
        1.3.1 课题研究目标
        1.3.2 课题研究内容
    1.4 本章小结
第2章 系统总体设计
    2.1 系统设计需求
    2.2 系统整体设计
    2.3 系统硬件电路设计
        2.3.1 电源管理电路设计
        2.3.2 前端采样电路设计
        2.3.3 MCU控制电路设计
        2.3.4 可靠性设计
    2.4 系统软件设计
    2.5 本章小结
第3章 心电实时分析算法设计
    3.1 心电实时分析算法需求分析
    3.2 滤波
    3.3 QRS波群检测
    3.4 心电信号分类
        3.4.1 心拍和心电信号特征
        3.4.2 心拍匹配
        3.4.3 主导心拍选择
        3.4.4 主导心律
        3.4.5 心拍分类
    3.5 本章小结
第4章 嵌入式软件设计
    4.1 嵌入式软件需求分析
    4.2 嵌入式软件设计概述
    4.3 多任务管理
    4.4 数据采集
    4.5 USB通讯
    4.6 SD卡存储
    4.7 人机交互设计
    4.8 可靠性、稳定性
    4.9 本章小结
第5章 系统测试与结果分析
    5.1 功耗测试
    5.2 多任务管理测试
        5.2.1 数据采样
        5.2.2 心电信号采样频率
        5.2.3 心电实时分析
        5.2.4 心电实时分析算法测试
    5.3 系统整体测试与试验情况
    5.4 动态心电监测仪研制总结
    5.5 本章小结
第6章 总结与展望
    6.1 总结
    6.2 展望
参考文献
攻读硕士期间承担的科研任务及主要成果

四、QRS波群检测方法的研究进展(论文参考文献)

  • [1]胎儿心电信号检测算法的研究进展[J]. 郝婧宇,南格丽,吴水才. 医疗卫生装备, 2021(06)
  • [2]用于心律失常自动诊断的动态心电监测系统的研究[D]. 周葛. 吉林大学, 2021(01)
  • [3]基于深度学习的心脏数据自动分类与分割关键技术研究[D]. 党豪. 北京邮电大学, 2020(01)
  • [4]基于深度学习的心律失常分类方法研究[D]. 何润南. 哈尔滨工业大学, 2021
  • [5]基于卷积神经网络的心律失常检测分类算法研究[D]. 吴海波. 湖北工业大学, 2020(11)
  • [6]基于CNN与SVR证据理论融合的ECG信号分类[D]. 程相前. 山东科技大学, 2020(06)
  • [7]基于稀疏特性和机器学习的ECG信号处理技术研究[D]. 金中一. 齐鲁工业大学, 2020(02)
  • [8]基于小波变换的ECG信号特征参数提取研究[D]. 杨旭东. 电子科技大学, 2020(07)
  • [9]基于卷积神经网络的胎心率被动检测方法研究[D]. 徐伟. 江苏科技大学, 2020(03)
  • [10]基于Cortex-M3的具有实时分析功能的动态心电软硬件系统的研究[D]. 蒋坤坤. 浙江大学, 2020(02)

标签:;  ;  ;  ;  ;  

QRS波群检测方法研究进展
下载Doc文档

猜你喜欢